2109
Radial Epitaxy of Silicon for Optoelectronic Applications

Wednesday, 8 October 2014: 10:30
Expo Center, 1st Floor, Universal 18 (Moon Palace Resort)
J. Yoo (Los Alamos National Laboratory), S. A. Dayeh (University of California San Diego), N. Bartelt (Sandia National Laboratories), and S. T. Picraux (Los Alamos National Laboratory)
At the heart of the dramatic transition in semiconductor device technology from planar to three-dimensional (3D) architectures is the ability to shrink devices to nanoscale dimensions with near atomic level control of materials’ structure and composition.  The 3D structures serve as building blocks for high-performance electronic and photonic devices such as photovoltaic cells, light-emitting diodes, multi-gate field effect transistors and require unprecedented control in dimensions and materials properties. Epitaxial crystal growth, along with lithographic and etching technologies, provides one of the enabling approaches to create such structures. The standard for epitaxy in electronics technology is chemical vapor deposition (CVD) growth, which enables preparation of 3D semiconducting nanomaterials with modulated composition and electrical dopant profiles, with silicon (Si) epitaxy being arguably the world’s best studied crystal growth system. It is thus important to understand Si epitaxy on nanoscale structures, since any change in crystal growth kinetics at small sizes would have a large effect on dimensional control from a technological perspective and would also be of great interest from a fundamental perspective for understanding atomic scale processes and fabricating novel structures.

 We show that Si epitaxial growth at the nanoscale becomes size dependent at dimensions significantly larger than the onset of thermodynamic limits, and that this previously unknown behavior within the mesoscopic size regime for one of the best known crystal growth systems is a general phenomenon. A monotonic reduction in homoepitaxial growth rate with size is observed for facet widths below certain scale and the results modeled by an area-dependent precursor incorporation rate. The presence of n and p type dopants results in even greater reductions in low temperature radial growth rates, and a critical thicknesses for Si single crystal radial epitaxy is found for phosphorus. The results provide new insights on the nature of CVD crystal growth at small dimensions and have significant implications for 3D nanoscale fabrication of technologically important Si electronic device architectures.

  Si radial p-i-n junction nanowire structure is a suitable platform to integrate fundamental understanding and applications since the structure provides an approach for realizing concurrent maximization of light absorption and photogenerated carrier separation in photovoltaic (PV) devices. Additionally, NW arrays embedding radial p-(i)-n junctions enhance light absorption significantly in wide range of wavelengths. Si radial p-i-n junctions consisted of core Si NWs and Si shells. Core Si NWs were prepared by top-down consisting of lithographic technique and deep reactive ion etching. After formation of Si NWs, the color of Si substrate in NW regions turned to be black from shiny metallic surface. The change of color indicates enhanced light absorption in visible wavelengths. Electrically doped Si radial shell was prepared by epitaxial growth on the surfaces of Si NWs via low-pressure chemical vapor deposition. PV characteristics of crystalline Si radial p-i-n junction arrays prepared by top-down approach and epitaxial shell growth were investigated by current–voltage measurements under dark and Air Mass 1.5G conditions. Though the unoptimal dimensions of NWs and top electrode configuration, the open circuit voltage, short circuit current density, and photoconversion efficiency of the device were 0.46 V, 40 mA/cm2, and 10%, respectively. The high short circuit current density comparable to that of commercialized Si PV cell indicates that efficient photogenerated generation/collection is occurred in Si radial p-i-n junction.