1075
(Invited) Epitaxy of (SiGe/Si) Superlattices for the Fabrication of Horizontal Gate-All-Around Nanosheet Transistors

Thursday, 4 October 2018: 08:00
Universal 13 (Expo Center)
N. J. Loubet, J. Li, R. Chao, C. Yeung (IBM Research), J. Frougier, C. Durfee (GLOBALFOUNDRIES), A. Arceo de la Pena, R. Muthinti, Z. Bi, M. Sankarapandian, W. Xu, Y. Mignot, S. Sieg, R. Conti, B. Veeraraghavan, H. Jagannathan, B. Haran, R. Divakaruni, and H. Bu (IBM Research)
Stacked horizontal Gate All Around Nanosheet transistors were recently proposed as a replacement of FinFET for the sub-7nm device nodes. In order to stack Nanosheet channels, a specific SiGe/Si superlattice epitaxy is employed, with SiGe being used as a sacrificial layer that will define the suspension thickness (Tsus) between the channels. In this paper, we will consider the optimization of SiGe/Si multilayer epitaxy for Nanosheet channel definition (Fig. 1). Stacks with different Ge compositions are physically characterized and studied. With strain characterization and x-ray diffraction measurements on (100) substrates, we will show that it is possible to form pseudomorphic defect-free SiGe/Si multilayers over a wide range of Ge concentrations in the sacrificial SiGe (Fig. 2 and 3). The uniformity obtained on blanket 300mm substrates will be presented (Fig. 4), with a discussion on the SiGe/Si interface abruptness. In the context of CMOS device integration, it is necessary to employ a low temperature STI process to mitigate the Ge inter-diffusion in the silicon channel and to avoid the possible generation of defects from plastic relaxation of SiGe. The SiGe and Ge-Si intermixed regions are removed with appropriate selective etch process in two Nanosheet specific modules: inner spacer formation in the extension regions (Fig. 5) and post channel release in the gate regions (Fig. 6), leaving only 5nm intrinsic silicon Nanosheets. We will report the etch rate and selectivity of our in-house vapor-phase channel release process performed at low temperature. This process enables us to remove SiGe versus Si with a great selectivity and a limited amount of silicon loss during channel release, before gate stack deposition around the stacked Nanosheets. From a device perspective, we will show that the optimization of the silicon thickness in the SiGe/Si superlattice plays an important role in the Nanosheet device performance and electrostatics (Fig. 7). Finally, with a good SiGe/Si multilayer uniformity control, a reduced thermal budget and after optimization of our in-house SiGe selective etch process, we will show the capability to form 5nm silicon Nanosheet channels with small within wafer variation, low device variability and good process control, compatible with the fabrication of very large scale integrated circuits for future CMOS device nodes.

Acknowledgements:

This work was performed by the Research Alliance Teams at various IBM Research Facilities.