1036
Development of a Cu and W Compatible PERR Clean in BEOL Advanced Interconnect Patterning

Tuesday, October 13, 2015: 16:40
104-A (Phoenix Convention Center)
E. Kesters, Q. T. Le (imec), S. Decoster, V. Vega Gonzalez (IMEC), F. Holsteyns (imec), and S. De Gendt (imec)
In back-end of line (BEOL), the fluorinated polymer deposited on dielectric sidewalls during patterning [1,2] must be removed prior to the subsequent processing steps to achieve good adhesion and coverage of materials (metals) deposited in the etched features. Recent results clearly showed the presence of a highly fluorinated layer deposited on the trench sidewalls during the plasma etch using a fluorocarbon based plasma [3]. The challenges related to the wet cleaning of such structures is the efficient removal of post-etch residues (PERR) by showing high selectivity and compatibility towards a variety of new materials exposed to the wet clean chemistry [4]. This study focuses on the use of acidic (pH:0.5-3) and alkaline (pH:8-13.5) aqueous based chemistries that are compatible with W and Cu, respectively. A schematic overview of a BEOL interconnect structure used for the  N10 node (22 nm ½ pitch) is shown in Figure 1. The low-k material and hardmask that are used in this work/stack structure are respectively an OSG type of low-k material with a target value of  k=2.4 (~20% open porosity) and TiN.

Compatibility tests of different alkaline and acidic chemistries have been performed and proven to be compatible with the OSG 2.4 low-k dielectric material. The alkaline chemistries were compatible with Cu, while the acidic chemistries were compatible with W metal. The etch rate behavior of TiN was also checked. Spectroscopic ellipsometry, capacitance measurements (to measure k-value) and sheet resistance measurements were performed for this study.

The test vehicle used to check the cleaning efficiency is a 45 nm ½ pitch BEOL trench short loop structure (Figure 2). A layer of low-k dielectric (80 nm) was first deposited on a 300 mm Si wafer, followed by the deposition of a 30 nm oxide HM and TiN layer (~25 nm), and a BARC/193-nm photoresist layer. The TiN layer was first etched using a HBr/Cl2 plasma, followed by the Oxide HM and OSG etch using a C4F8/CF4-based plasma (TEL Tactras). All dies are fully covered with the same type of 45 nm trench structures. A large choice of techniques was selected to characterize the cleaning efficiency of this test vehicle.One of the techniques used to investigate the cleaning of the CFx residue and TiN removal efficiency, is X-ray photoelectron spectroscopy (XPS).

From figure 3, it is clear that the alkaline mixture removes almost completely the TiN within 2 min and at the same time the fluorine-containing residues have almost disappeared. The acidic formulated mixture removed TiFx (684.5 eV) completely while the CFx (688.3 eV) residues were only partially removed. A comparison of these acidic and alkaline formulated mixtures with citric acid and a TMAH:H2O2 mixture respectively, results in various cleaning efficiency but none of the commodity chemistries achieved complete removal of CFx and TiFx.

The result obtained on a real device structure of 22.5 nm ½ pitch confirms the good performance obtained on the 45 nm ½ pitch BEOL structure. The SEM images shown in Figure 4 give an evidence that both Cu (M1 level) and the porous dielectrics are fully compatible with the chemistry. Electrical results using this alkaline chemistry, show a very good yield for CD lines, MF structure, and via chains will also be presented and discussed.

[1] Y. Furukawa et al., Microelectron. Eng., 70, 267 (2003).

[2] Q. T. Le et al., J. Electrochem. Soc. 159, H208 (2012).

[3] T. Mukherjee et al., ECS Solid-St. Lett. 2, N11-N14 (2013).

[4] E.Kesters et al.,Solid State Phenomena, 219, 201 (2015).