861
Plasma Dicing: Current State & Future Trends

Monday, October 12, 2015: 09:00
Borein A (Hyatt Regency)
R. Westerman, G. Grivna (ON Semiconductor), K. Mackenzie (Plasma-Therm, LLC), T. Lazerand (Plasma-Therm, LLC), and J. Doub (ON Semiconductor)
Die singulation by mechanical sawing has been the primary technology used in semiconductor device fabrication for decades.  However as device structures continue to evolve to meet increasing performance requirements, the fundamental limitations of the sawing operation have been exposed.  Obtaining reasonable dicing throughput and yield for die less than 100µm thick is a challenge for the sawing operation.  An alternative to a saw-based dicing approach is die singulation using plasma etching.  This paper compares and contrasts plasma dicing before grind (DBG) and plasma dicing on tape (PDOT) processes to conventional mechanical saw processes, laser processes, and one another.  While saw and laser processes are serial in nature, plasma dicing is a parallel process that can offer higher equivalent cutting speeds and is supportive of the current trend to thinner wafers down to 50µm and beyond.  In addition to a wafer per hour throughput advantage, plasma dicing allows more die per wafer due to narrower lithographically defined streets; 90µm saw street widths compared to 5-20µm wide plasma dicing streets.  Along with higher die throughput, die singulated using plasma dicing have die strengths 9 times stronger than mechanically sawed die. In closing, the paper discusses issues and solutions related to PDOT process integration including maintaining tape integrity, street definition / masking approaches, and packaging compatibility.