953
(Invited) Proximity Gettering Design of Hydrocarbon Molecular Ion Implanted Silicon Wafers Using Direct Bonding Technique for Advanced CMOS Image Sensors: A Review

Tuesday, 2 October 2018: 08:00
Universal 14 (Expo Center)
K. Kurita, Y. Koga, R. Okuyama, T. Kadono, S. Shigematsu, A. O. Masada, R. Hirose, and H. Okuda (SUMCO CORPORATION)
Complementary metal oxide semiconductor (CMOS) image sensors have become widely used ubiquitous devices such as smart phone and personal computer type tablets. Consumer markets strongly demands more high sensitivity imaging and more high speed image data processing for achieving advanced CMOS image sensor fabrication. However, there are some serious improvement technical issues in CMOS image sensor fabrication process. Extremely important technical issue is metallic impurity contaminated device active region during device fabrication process such as high temperature rapid thermal annealing, dry etching and plasma heat treatment. Metallic impurities formed deep energy level defects in the silicon band-gap. Thus, these deep energy level defects strongly affects electrical device characteristic such as pn-junction leakage current, recombination lifetime and gate oxide breakdown voltage. Another technical issue is oxygen impurity out-diffused to the device active region from a Czochralski (CZ) grown silicon substrate during device heat process. Oxygen impurity formed oxygen related deep energy level defects in the device active region of photo-diode space charge region and transfer transistor gate channel region. These oxygen related defects strongly influenced on electrical device performance such as image lag.

Therefore, in recent years, CMOS image sensor manufacturers have strongly demanded silicon wafers with the highest metal and oxygen impurities gettering capability during CMOS device processes. In response, we have developed a novel gettering wafer production concept using a hydrocarbon molecular ion implantation technique for advanced CMOS image sensors [1][2][3]. This technique can implant a silicon wafer surface simultaneously with carbon and hydrogen elements that form the projection range using a hydrocarbon compound molecular gas source.

In our previous study, it was found that a hydrocarbon molecular ion implanted silicon wafer had three unique characteristics for high performance of advanced CMOS image sensors. First, a hydrocarbon molecular ion projection range has high gettering capability of metallic impurities [1][2][4]. Second, this projection range also has a diffusion barrier effect for oxygen impurities out-diffusing to the device active region from a CZ grown silicon wafer substrate [5]. Third, it is expected that diffusing hydrogen to the device active region from the hydrogen of hydrocarbon molecular ions gettered in the projection range during the device fabrication process will have a passivation effect on unreconstructed surface dangling bonds and Si/SiO2 interface state densities such as local oxidation of silicon or shallow trench isolation [6][7].

Moreover, we achieved more improvement for gettering capability of hydrocarbon molecular ion implanted silicon wafer which formed epitaxial layer without epitaxial growth process using room temperature direct bonding technique.

In this presentation, we demonstrate that a hydrocarbon molecular ion implanted silicon wafers using room temperature direct bonding technique has high gettering capability characteristics for transition metal and light element impurities such as oxygen, and hydrogen during the CMOS image sensor fabrication process.

References

[1] K.Kurita, et al , Jpn. J. Appl. Phys. 55,121301(2016)/DOI.org/10.7567/JJAP.55.121301.

[2] K.Kurita, et al , Phys.Status.Solidi A, 1700216(2017)/DOI 10.1002/pssa.201700216.

[3] R.Okuyama, et al: Jpn. J. Appl. Phys. 57,011301(2018)/DOI.org/10.7567/JJAP.57.011301.

[4] A.Onaka, et al: Jpn. J. Appl. Phys. 57,021304(2018)/DOI.org/10.7567/JJAP.57.021304.

[5] K.Kurita, et al , J. Surf. Sci. Soc. Jpn.,Vol.37,p104(2016)/DOI.org/10.1380/jsssj.37.104.

[6] R.Okuyama, et al: Jpn. J. Appl. Phys. 56,025601(2017)/DOI.org/10.7567/JJAP.56.02560.

[7] R.Okuyama, et al , Phys.Status.Solidi C, 1700036(2017)/DOI 10.1002/pssc.201700036.